Wealthify doesn't support your browser

We're showing you this message because we've detected that you're using an unsupported browser which could prevent you from accessing certain features. An update is not required, but it is strongly recommended to improve your browsing experience. Find out more about which browsers we support

Good Eggs: ASML

The latest company to be highlighted in our Good Egg series is ASML. who produce advanced chip-making equipment.
ASML Cleanroom DUV assembly | wealthify.com
Reading time: 6 mins

Please note: this blog was published in August 2021 and its content is based on what was correct at the time of writing. As a result, some of the facts and opinions may no longer be current or relevant.

When asked where you think of the centre of technological innovation, many will point to Silicon Valley, home to some of the largest and most dynamic companies in the world, as well as a countless number of ambitious start-ups aiming to join them. Very few people would bring a suburb in the Netherlands into the picture, but Veldhoven is home to the world’s only manufacturer of the most advanced chip-making equipment - ASML.

The machines produced by ASML are not only relied upon by the likes of Intel, Apple, Samsung, and Nvidia to name a few, but also billions of consumers around the globe. In fact, this relatively unknown company is likely to be as close to the cornerstone of the global technology sector as possible.

Our Ethical Investment Plans include ASML, which is held in the Liontrust Sustainable Future Global Growth Fund and the Pictet Global Environment Opportunities Fund.

The finance stuff📈

Company value: EUR286bn

Share price:  EUR681.3

2020 Profits:  EUR3.7bn


What does AMSL do? 

ASML is a Dutch multinational company manufacturing photolithographic machines (which use light to etch integrated circuits onto silicon wafers). ASML is the largest supplier of these machines that are primarily used in the semiconductor industry as a key component in products such as computers, smartphones, vehicles, and medical equipment. ASML alone has harnessed “extreme ultraviolet” (EUV) light, with wavelengths of just 13.5 nanometres (billionths of a metre). Shorter wavelengths allow the etching of smaller components, allowing for increased power, and decreased relative cost in the same sized chip or product, as observed by Moore’s Law. This is seen in real-world examples such as thinner phones and screens, or physically smaller memory cards with the same or increased memory sizes.

The world’s three leading chipmakers—Intel in America, Samsung in South Korea, and the Taiwan Semiconductor Manufacturing Company (TSMC) who supply internal components to Apple and Nvidia - all rely on ASML’s machines to service their clients.

What positive impact is ASML having?

Innovation

ASML has achieved robust growth in the last few years as a result of ground-breaking technological innovation. They invested around £1.9bn into research and development in 2020 in collaboration with over 5,000 partners, suppliers, and high-tech start-ups[1]. Some of their partnerships included universities and institutions to develop system parts or modules that make their machines more efficient.

Customer experience

ASML’s clients review the one-off and operating costs of the systems and feed this back to help develop a better customer experience – which is rewarded with a reduction in cost over time. As such, improving costs requires evaluating the entire supply chain to locate any issues and identify cost-saving solutions without compromising quality or performance. The modular design of the products allows for upgrades without replacing the entire system not only reducing costs but decreasing waste and installation time too.

The environment

ASML understand that a ‘circular economy’ is vital to enhance their sustainable practice. By reusing parts, increasing energy efficiency within processes, and reducing scrap where possible, the net effect will be a positive one for the planet.

Human rights

Gold and tin, which carry the potential risk of being conflict materials, are integral components in the production process. To mitigate this risk, ASML’s procurement process focuses on sourcing materials responsibly and supporting international efforts to ensure that the mining and trading of these materials do not contribute to conditions of armed conflict and human-rights abuse. The strict procurement process involves enhanced due diligence processes set out by the Organisation for Economic Cooperation and Development (OECD).

ASML also support the Responsible Minerals Initiative (RMI), the Responsible Minerals Assurance Process (RMAP), and the Global e-Sustainability Initiative (GeSI). ASML will also reach out to their suppliers to complete a Conflict Minerals Reporting Template to validate their compliance with their conflict-free minerals sourcing policy.

Employee engagement

ASML see employee engagement as being critical to their long-term success, and this is underpinned by their talent attraction and skill development efforts. The engagement by employees through ASML’s internal survey supports improvements in policies and processes and allows great skills and experience to remain within the company to better serve their customers.

ASML’s workforce grew by nearly 2,000 people in 2020, to roughly 26,500 employees by year-end. Training and career development form an important part of the growth in employee numbers, which highlights the positive working environment ASML have sculpted. ASML has committed to paying an adequate living wage that covers basic needs and provides a level of disposable income on top of that too. In fact, when reviewing the salaries paid against local living wages, ASML employees were paid significantly more.

What ASML has to say 

“It's clear there is increasing interest in companies’ ecological and social footprints. We continue to apply corporate responsibility standards in the pursuit of our business ambitions. Our innovation ecosystem, energy-efficient products, circular use of materials and a responsible supply chain are our key sustainability priorities. These are vital for the long-term success of our business and the long-term value we create for all our stakeholders.

We continue to accelerate talent development and we promote a diverse and inclusive workplace that drives creativity and new ideas. We also drive collaborative innovation in environmentally friendly solutions for our customers. We are strongly committed to ethical business behaviour, and we play an active role in promoting high standards of business conduct across the value chain. Outside the walls of our organization, we are committed to supporting schools with science, technology, engineering, and math (STEM) subjects, particularly among female students, to support children and young adults to unlock their potential.”

 - ASML Annual Report 2020

What Liontrust think…

“This Dutch business sells the machines that make semiconductors and is the world’s largest supplier of photolithography systems, the standard method of the printed circuit board (PCB) and microprocessor fabrication. ‘Faster, smaller, greener’ is ASML’s guiding principle, continuing Moore’s Law towards smaller, cheaper, more powerful, and energy-efficient semiconductors. While hit during the Covid crisis, some of the company’s target markets, especially the automotive sector, have recovered better than expected since last summer and the structural transformation towards electro mobility is accelerating, particularly in Europe, which remains a key part of our thesis. We believe the company’s focus on next-generation EUV (extreme ultraviolet lithography) technology puts it in a dominant position.”

To wrap up 

As we become ever more reliant on better and faster technology due to the wealth of information that’s constantly at our fingertips, it is important to remember the enablers whose products power many of the things we use day-to-day. With commitments to the circular economy, the environment, and human rights, ASML is a market leader when it comes to having a sustainable focus in the technology-centric world we live in. Their investment into research and development (R&D), accompanied by their community spirit through their partnerships, will allow them to set the pace for what is considered to be ‘innovation’.

1. https://www.asml.com/en/technology/how-we-innovate

Please remember the value of your investments can go down as well as up, and you could get back less than invested.

Share this article on:

Wealthify Customer Reviews